MEL BannerNISTEEEL

Citation: (NIST Authors in Bold)

Authors: Dixson, R. G., Sullivan, N. , Schneir, J. , Mcwaid, T. , Tsai, V. W., Prochazka, J. , Young, M.
Title: Measurement of a CD and Sidewall Angle Artifact with Two Dimensional CD AFM Metrology
Published: May 01, 1996
Abstract: Despite the widespread acceptance of SEM metrology in semiconductor manufacturing, there is no SEM CD standard currently available. Producing such a standard is challenging because SEM CD measurements are not only a function of the linewidth, but also dependent on the line material, sidewall roughness, sidewall angle, line height, substrate material, and the proximity of other objects. As the presence of AFM metrology in semiconductor manufacturing increases, the history of SEM CD metrology raises a number of questions about the prospect of AFM CD artifacts. Is an AFM CD artifact possible? What role would it play in the manufacturing environment? Although AFM has some important advantages over SEM, such as relative insensitivity to material differences, the throughput and reliability of most AFM instruments is not yet at the level necessary to support in-line CD metrology requirements. What, then, is the most useful relationship between AFM and SEM metrology? As a means of addressing some of these questions, we have measured the CD and sidewall angle of 1.2 micrometer oxy-nitride line on Si using three different techniques: optical microscopy (with modeling), AFM, and cross sectional TEM. Systematic errors in the AFM angle measurements were reduced by using a rotational averaging technique that we describe. We found good agreement with uncertainties below 30 nm (2 sigma) for the CD measurement and 1.0 degrees (2 sigma) for the sidewall angles. Based upon these results we suggest a measurement procedure which will yield useful AFM CD artifacts. We consider the possibility that AFMs, especially when used with suitable CD artifacts, can effectively support SEM CD metrology. This synergistic relationship between the AFM and SEM represents an emerging paradigm that has also been suggested by a number of others.
Conference: Scanning Probe Metrology
Proceedings: Proceedings of SPIE, Metrology, Inspection, and Process Control for Microlithography X, Susan K. Jones, Editor
Volume: 2725
Pages: pp. 572-588
Location:
Dates: March 11, 1996
Keywords: AFM, CD, metrology, SPM, standards
Research Area:
Close Window
Comments to: MEL Comments