Berkeley Lab Research

Maskless Ion Beam Lithography

The manufacture of CMOS integrated circuits will eventually require techniques for patterning sub-10 nm features, with sub-25 nm half-pitch. Mask costs for deep-UV (eventually EUV) lithography will continue to escalate with each new generation of technology, and will even become prohibitive for low-volume IC products. Maskless patterning techniques are desirable in order to circumvent these issues. In this section, we report on two maskless ion-beam lithography schemes that were highlights of the past year’s accomplishment in the Plasma and Ion Source Technology Group.

Maskless Micro-Ion Beam Reduction Lithography Project

We have been investigating a novel ion beam projection lithography (IPL) system called Maskless Micro-Ion Beam Reduction Lithography (MMRL) System (Figure 1), which completely eliminates the first stage of the conventional IPL system, i.e. the ion beam illumination columns before the stencil mask and the mask itself. Ions drift out from a multicusp ion source through a beam-forming electrode (the pattern generator) and are then directly projected to the wafer. Due to its low energy at the beam-forming electrode, ion beamlets can be switched on and off at the universal pattern generator with a high speed. Using the proper writing strategy, the MMRL system can directly write patterns on wafer without employing complicated and expensive masks.

Figure 1. Maskless Micro-Ion Beam Reduction Lithography System uses a universal pattern generator (beam-forming electrode) to form lithographic patterns on wafers.

In order to obtain sub-100 nm resolution, the numerical aperture (NA) of the MMRL needs to be smaller than 10-3. This can be accomplished by installing a limiting aperture (<200 mm in diameter) at the beam crossover position (Figure 2). Preliminary resist exposure results with the limiting aperture show feature sizes as small as 120 nm (Fig. 3), limited only by the size of the aperture on the IBM mask presently being used. By employing a mask with smaller apertures, e.g. 500 nm in diameter, 50 nm feature size can be achieved after 10x reduction.

Figure 2. MMRL ion optical column with limiting aperture.
Figure 3. Resist (PMMA) exposure result using a pattern generator of 1 mm apertures. Features size as small as 120 nm achieved on the wafer.

Beamlet switching in the past is achieved by using a pattern generator which consists of three layers of electrodes - that is two metallic with an insulating electrode in between. By biasing the third electrode more positive than the first electrode, the ion current of the individual beamlet can be turned off. However, such pattern generator with 1 micron aperture and with total thickness >20 microns is very difficult to fabricate. In order to overcome this technical problem, it has been demonstrated that ion beams can be switching on and off using a single layer pattern generator (Figure 4). It has been demonstrated (Figure 5) that less than 5-volt bias is needed to turn the beamlet current off.

Figure 4. Schematic diagram of a one-layer switching pattern generator.
Figure 5. Preliminary experimental results of ion beam switching using a one-layer pattern generator. Less five volts of bias is enough to turn the beam off.

Maskless and Resistless MOSFET Fabrication using Ion Beam Lithography

Besides tremendous challenges associated with mask technology, new resists must continually be developed to provide optimal resolution with adequate line-width control and throughput, as ever shorter wavelengths of light are used. Maskless and resistless patterning techniques can greatly simplify the manufacture of nanoscale integrated circuits in the future. We have demonstrated that it is possible to fabricate a MOSFET entirely without any masks or resist, by using ion beam lithography.

As shown in Figure 6a, silicon can be selectively oxidized by low-energy oxygen ions. O2+ ions are selectively implanted with energy 3 keV, to form a thin silicon dioxide layer on the surface, which serves as a hard mask in a subsequent reactive-ion etch process used to pattern the poly-Si film. Figure 6b and 6c show a patterned poly-Si line (140 nm thick) and its profile, respectively.

Figure 6. a) Process for direct patterning of poly-Si. b) Micrograph of poly-Si line patterned with a focused O2+ beam at a dose of 10^15 cm-2. c) Profile map of the poly-Si line.

SOI MOSFET can be fabricated using a process as shown in Figure 7 without any mask or resist involved. A completed MOSFET is shown in Figure 8. Electrical characterization of the fabricated transistors is still in progress.

Figure 7. SOI MOSFET fabrication process. a) SOI starting substrate (100 nm Si on 400 nm buried oxide); b) thin the Si by thermal oxidation, then pattern the active regions using a focused O2+ beam followed by reactive ion etching; c) grow the gate oxide and deposit in-situ doped poly-Si; d) pattern the poly-Si using a focused O2+ beam followed by RIE; e) selectively dope the source and drain regions using a focused P+ beam, then activate the dopants with a rapid thermal anneal.
Figure 8. Micrographs of SOI MOSFET fabricated without any masks or resist.

A combined electron and ion beam system

Sample charging is always a problem during micromachining or imaging insulating material using positively charged particles. Conventionally, either an electron beam can be aimed and impinge on the sample to compensate the positive potential, or a gas cell can be inserted on the path of the positive ions for partial neutralization. A novel idea of forming a combined electron and positive ion beam is being developed, which can be applied to various applications, such as circuit inspection, ion beam milling, and secondary ion mass spectroscopy etc. As shown in Figure 9a, the new system consists of two identical alumina plasma chambers, which are separated by a stack of electrodes. Double layer of copper wires are wound outside the chambers as external antenna. Gases, such as argon, are introduced into both chambers to generate plasma by RF induction discharge. The potentials applied on the electrodes are arranged in such a way (Figure 9b) that only electrons are extracted from the left chamber, and positive ions are extracted from the right one. Electrons extracted from the left chamber will drift through the right chamber and be extracted from the column attached to the right chamber together with the positive ion beam. A proof-of-principle setup has been completed (Figure 10) and preliminary results have confirmed the co-existence of both electrons and ions in the beam.

Figure 9. a) Schematic diagram of a double-chamber source. A beam consisting of electrons and positive ions can be formed using a single column. Larger dots represent positive ions, while smaller dots represent electrons. b) Axial potential distribution of the setup.
Figure 10. A picture of the novel combined electron and ion beam system.

A FIB/SEM Dual Beam System A FIB/SEM dual-beam

A FIB/SEM dual-beam system is being developed jointly by Harvard University and the Plasma and Ion Source Technology Group. It employs a mini-RF driven plasma source to generate various species of focused ion beams, a FEI two-lens electron (2LE) column for SEM imaging (as shown in Figure 11) and a five-axis manipulator system. The FEI 2LE column, which utilizes Schottky emission, proprietary electrostatic focusing optics, and stacked-disk column construction, can provide high-resolution (as small as 20 nm) imaging capability, with fairly long working distance (25 mm) at 25 keV beam voltage. The mini-RF plasma source consists of a ceramic chamber with 1.5 cm inner diameter and a two-layer copper wires as external antenna. Through a 50 mm diameter extraction aperture, the current density of Ar+ ions that can be extracted reaches as high as 100 mA/cm2 at only 150 W of input RF power. An all-electrostatic two-lens system has been designed to focus the ion beam extracted from the source. Based on the ion optics simulation, beam spot sizes as small as 300 nm can be achieved at beam energies from 5-35 keV if a 50 mm diameter extraction aperture is used. Smaller beam spot sizes can be achieved with small apertures at sacrifice of some beam current. The system is under construction and will be tested in the next few months.

Figure 11: Schematic diagram of the FIB/SEM dual beam system.

Ion Beam Imprinter

A new concept of ion-beam imprint has been developed in the Plasma and Ion Source Technology Group, as shown in Figure 12. A stencil mask that consists of different shape of features, such as lines, arcs, round holes, and other arbitrary shapes is used as a plasma electrode, which is biased at a positive potential relative to the substrate. Ions can be extracted through the apertures and reach the same pattern as those on the mask. With the existence of electric field between the mask and the substrate, different demagnification factor can be achieved, depending on the shape of the apertures and the distance between the mask and the substrate.

Figure 12: Schematic diagram of the ion beam imprint.

The system has been applied to ion beam machining for various samples, such as stainless steel (Figure 13) for medical stent manufacturing, glassy carbon for NIF target topology modification (Figure 14).

Figure 13. Schematic diagram of the ion beam imprint in stainless steel.
Figure 14. Schematic diagram of the ion beam imprint in glassy carbon.
Plasma and Ion Source Technology Group
Copyright 2005 Taneli Kalvas (TVKalvas@lbl.gov)
and Qing Ji (QJi@lbl.gov)